天津市集成电路行业协会
搜索
搜索
关于集成电路企业优惠政策申请的提醒
关于集成电路企业优惠政策申请的提醒

培训中心

全部分类

相关资讯

暂时没有内容信息显示
请先在网站后台添加数据记录。
/
/
/
2014年7月9-11日IC Compiler 1培训通知

2014年7月9-11日IC Compiler 1培训通知

  • 分类:培训信息
  • 作者:
  • 来源:
  • 发布时间:2014-07-01 17:34
  • 访问量:

【概要描述】

2014年7月9-11日IC Compiler 1培训通知

【概要描述】

  • 分类:培训信息
  • 作者:
  • 来源:
  • 发布时间:2014-07-01 17:34
  • 访问量:
详情
   天津滨海集成电路设计服务中心将于2014年7月9日至11日(下周三四五)三天举办synopsys公司工具软件IC Compiler  的培训课程,以帮助IC工程师进一步了解EDA工具的应用。培训由公司synopsys经验丰富工程师主讲,以讲课和实验穿插进行。 欢迎各企业IC工程师报名参加。
 
报名方式:填写培训申请表(见附件)。
 
 
 
Overview
The class begins with how to load the required synthesis and physical data required by IC Compiler (data setup), followed by creating a floorplan, including power grid, to meet timing and routeability throughout the flow (design planning). The placement flow focuses on optimizing the placement and logic for timing, congestion, power, and scan-chain ordering. The CTS unit covers controlling and building clock trees and performing additional timing optimization, followed by routing of the clock nets. In the routing unit, you will learn the signal routing and optimization steps based on the Zroute mode, including concurrent via doubling and antenna fixing. The chip finishing unit includes steps to improve yield and reliability, including wire spreading/widening, diode insertion, inserting filler cells, redundant via insertion, and metal filling.
Every lecture is accompanied by a comprehensive hands-on lab.
Objectives
At the end of this workshop you should be able to use IC Compiler to:
• Perform data setup, which includes loading required synthesis and physical data, creating a Milkyway design library, and applying common timing and optimization controls
• Create a non-hierarchical chip-level floorplan that will be routable and will achieve timing closure
• Perform placement and related optimizations to minimize timing violations, congestion, and power
• Analyze congestion maps and timing reports
• Perform pre-CTS power optimization
• Perform clock tree synthesis
• Analyze clock and timing results post-CTS
• Route the clock nets
• Execute a Zroute-based signal routing flow, with concurrent via doubling and antenna fixing
• Analyze and fix physical DRC and LVS violations
• Perform functional ECOs
• Perform chip finishing steps
• Generate output files required for final validation/verification
Audience Profile
ASIC, back-end, or layout designers who will be using IC Compiler to implement a complete physical design flow.
Prerequisites
Prior knowledge of standard-cell-based automatic place & route is not needed. An understanding of fundamental physical design concepts, including layout, standard cell, standard cell library, setup and hold timing, inputs and outputs of synthesis, floorplan, standard cell placement, congestion, clock tree, metal layer, via, and routing is helpful.
Must be able to use a text editor (vi, vim, emacs) in a UNIX environment.
Course Outline
Day 1
• Introduction and Overview
• Data Setup and Basic Flow
• Design Planning
Day 2
• Design Planning (Lab continued)
• Placement
• Clock Tree Synthesis
Day 3
• Clock Tree Synthesis (Lab continued)
• Routing
• Chip Finishing
• Customer Support
 
上课时间:上午9:00-12:00  下午1:00-5:00
地 点:天津开发区第四大街80号天大科技园A1座2楼
联系人:张金建
电 话: 13752399226
邮 箱: zhangjj@innovateda.org

培 训 申 请 表

参加课程名称:

 

企/事业单位名称:

 

企/事业单位地址:

 

参加培训人数:

 

参见培训人员姓名:

 

联系人姓名:

 

电话:

 

传真:

 

E-mail:

 

备注:

 

 

 

注:

  1. 请认真填写上述信息;
  2. 关于培训费用将以上述参加培训人数为计算基数,且一旦确认将不得更改,因此所造成的经济损失本中心概不负责;
  3. 请将申请表格加盖单位公章后,以传真或邮寄方式返回本中心,如以传真形式则在人员报到时出示原件;
  4. 本中心享有最终解释权
客服热线
022-83945506 022-83945506
服务时间:
8:00 - 18:00
客服组:
在线客服

联系方式

关注我们

天津市集成电路行业协会